Uncategorized @ 02 December 2012, “No Comments”

There is no option like assigning a static IP address to your MAC address. In order to assign a static IP address to your device simply do it manually.

Example :

Router/Modem IP address : 192.168.0.1
Your IP address should be 192.168.0.ABC
Subnet mask : 255.255.255.0
Gateway : 192.168.0.1

You also have to fill the DNS part. Go to your modem configuration page and learn the DNS address from that page. Fill the blank and apply.

Uncategorized @ 25 November 2012, “No Comments”

Hi,

Last week in my qualification examination booth’s multiplier, data processing unit and ASM chart was asked as a basic computer organization question. Now I try to write the multiplier in VHDL as a state-by-state calculation for basic computer. Any comments are welcome.

My syntax highlighter is a little weird though any help is appreciated 🙂 You know these software stuff is for the coders, not for the hardware guys. Sorry for the inconvenience. Copy paste the code to your favorite text editor. Booth multiplier VHDL code for basic computer organization.

Please download from here.

--------------------------------------------------------------------
-- Company       : -
-- Engineer      : Enes Erdin
-- Creation Date : 25.11.2012
-- Copyright     : 2012 Enes Erdin
--
-- Description:
--    This is a booth multiplier written for basic computer organization
-- 
-- Warning : This code is as-is. The author of the code is not responsible
-- for any damage on your system
-- 
-- License:
--    This code can be freely distributed and modified as long as
--    this header is not removed.
-- 
-- contact : contact me via gmail.com
--------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity booth_mul is
end entity booth_mul;

architecture sinav of booth_mul is

signal clk : std_logic := '0';
signal BR : unsigned(5 downto 0) := "000000";
signal QR : unsigned(6 downto 0) := "0000000";
signal SC : unsigned(5 downto 0) := "000000";
signal AC : unsigned(5 downto 0) := "000000";
signal RESULT : unsigned(11 downto 0) := (others => '0');
signal CS_INTEGER : integer := 0;

type state_type is (T0,T1,T2,T3,T4,T5,T7,T6);
    signal cs : state_type;

begin

clk <= not clk after 5 ns;

CS_INTEGER <=   0  when cs = T0 else
                10 when cs = T1 else
                20 when cs = T2 else
                30 when cs = T3 else
                40 when cs = T4 else
                50 when cs = T5 else
                60 when cs = T6 else
                70 when cs = T7 else
                9999;
                
process(clk)
    procedure ashr_AC_QR is
    begin
        QR <= AC(AC'low)  & QR(QR'high downto QR'low+1);
        AC <= AC(AC'high) & AC(AC'high downto AC'low+1);
    end procedure;

begin
    if rising_edge(clk) then
        case cs is 
            when T0 =>
                cs <= T1;

                QR <= "1001010";-- -27 -- last bit represents Qn+1
                SC <= "000110"; -- 6 eder
                BR <= "011010"; -- 26

            when T1 =>
                --BR <= BR_orig;
                case QR(1 downto 0) is
                    when "00" | "11" =>
                        cs <= T7;
                        
                    when "01" => -- ADD
                        cs <= T2;
                        
                    when "10" => -- subtract
                        cs <= T3;
                        
                    when others =>
                        NULL;
                end case;
            
            when T2 =>
                AC <= AC+BR;
                cs <= T7;
            
            when T3 =>
                BR <= not BR;
                cs <= T4;
            
            when T4 =>
                AC <= AC+BR+1; -- a full adder with Cin = 1;
                BR <= not BR;  -- and convert to the original
                cs <= T7;
           
            when T7 =>
                ashr_AC_QR;
                SC <= SC-1;
                cs <= T5;
                
            when T5 =>
                if SC = "000000" then
                    cs <= T6;
                else   
                    --cs <= T1; -- lower the required clock cycle
                    case QR(1 downto 0) is
                        when "00" | "11" =>
                            cs <= T7;
                        
                        when "01" => --ADD
                            cs <= T2;
                        
                        when "10" => -- subtract
                            cs <= T3;
                        
                        when others =>
                            NULL;
                    end case;
                end if;
           
            when T6 =>
                result <= AC & QR(QR'high downto QR'low+1);
                cs <= T6;
                
            when others =>
                NULL;
            
        end case;
    end if;
end process;

end;

GHDL compilation and simulation code :

ghdl -a booth_mul.vhd
ghdl -e booth_mul
ghdl -r booth_mul --stop-time=500ns --vcd=booth_mul.vcd
gtkwave booth_mul.vcd
Uncategorized @ 18 November 2012, “No Comments”

Selamlar, arkadaşlar etrafta hiç mi elektronik mühendisi kalmadı? Nerede bu adamlar?

Uncategorized @ 21 October 2012, “No Comments”

İşim icabı değişik şehirlerde konaklama ihtiyacım oluyor. Belki fazla sayıda otelde kalmış olmasam da anlayamadığım bazı detaylar var. Örneğin hemen karşıdaki büfeden 50 kr. vererek alabileceğiniz bir şişe su neden 5 TL?  Otele yiyecek ve içecekle girmek neden yasak?

1- Eskihisar Old Castle Otel : Bir aile işletmesi. Odaları temizdir. Çalışanları orta halli kibar insanlar. Sonuçta Gebze gibi ortalama bir şehrin insanı. Sahipleri ve resepsiyondaki bayan business otel olduklarını iddia eder. Bu yüzden odadaki mini barı tamamen bitirmek yerine ödeyeceğiniz hesap ile fazladan 3 gün daha konaklayabilirsiniz. İçeri şişe su veya herhangi bir yiyecek ile girdiğiniz fark edilirse yine resepsiyon müdahale eder ve iyi bir fırça yiyebilirsiniz. İsteyerek gideceğim son yer Eskihisar olmasına rağmen otel yönetimi sizin çalıştığınız şirket olmasa kendi imkanınızla o otelde kalamayacağınızı düşünür. Bu yüzden aslında oradakilerle tamamen farklı dünyaların insanları olduğunuzu anlarsınız. Bir önceki gelişinizde mini bardan bazı tüketimleriniz olduğunu veya kuru temizleme kullandığınızı iddia edebilirler. Zaten kullanmamışsınızdır, kibarca reddedersiniz birşey demezler. Odaların alt katındaki salonda özellikle yazları sıkça düğün, davet vesaire olur. Karşısında kokoreççi Yaşar Usta vardır. En az bir kere yiyebilirsiniz 🙂 Kalabileceğiniz bir oteldir. Business olması için birçok değişiklik yapması gerekir.

2- Konya Hilton Garden Inn : Fiziksel olarak büyükçe bir business otel. Temizliği iyi ama süper değil. Odalarda su, kahve, çay ikramdır. Ütüsü, ütü masası bulunur. Mini barı boştur, içini resepsiyonun yanındaki marketten kendi isteğinize göre doldurabilir veya dışarıdan aldığınız nevaleyi yerleştirebilirsiniz. Şirket ayarladığı için fiyatı açısından pek birşey diyemeyeceğim ama bize ucuz 🙂 Kahvaltısı güzel, çalışanlar da profesyonel olmaya çalışan düzgün insanlar.

3- Bayramoğlu Resort Otel : Eski bir otel, yazın giderseniz çok nemli bir yer ve basık. Bazı odalarında da klima çalışmıyor olabilir, dikkat. Onun dışında old castle ile çok benzer.

4- Bayramoğlu North Star Otel : Odaları ferah ve yeni bir otel, manzarası da güzel ve genelde dolu olur 🙂 Bu da sanırım çok tercih edildiğinden.

Bugün Kazan yolu üzerindeki Cumhuriyet Halimbey lokantasına gittik. İlk defa gittiğim için sucukla ilgili şeylerle karşılaşacağım diye düşündüm ama pek alakası yoktu. Yemekler fazla pahalı mesela bugün itibariyle iskender 18 TL idi. Mercimek çorba ise 7.5 TL. Tamam atmosfer güzel etraf ferah ama yemekler fiyatını haketmiyor. Mesela, çorba istedim; günün çorbası ezogelin dediler, mercimek geldi ve fazla suluydu. Ortaya salata getirelim mi dediler, getirin dedim ezme, turşu falan da getirdiler, tabi ki bunlar da paralı. Ilık su istedik sanırım dördüncü isteyişimizde ılık su kalmamış hepsi soğuk su dediler. Bir kere gidip görebilirsiniz, çocuklar için güzel parkı var ama yemek yemek için gitmeye değmez bence. Onun yerine Etlik’teki Canlı Konak’ı tavsiye ederim. Fiyat performans çok iyi.

Uncategorized @ 20 June 2012, Comments Off on Orcaya giris

Ftp://orca.cc.metu.edu.tr

grupanya.com’dan aldığım tunalı garajda oto yıkama hizmeti tamamen bir felaket. Arkadaşlar bu tip fırsatlardan uzak durun. Adam tabi ki verdiği fiyat neyse onun hakkını verecek. Yok onu yapıyormuş, cila varmış, yalıyormuş falan şu fiyataymış da şimdi bu fiyatmış. Size fiyatı bu diyorsa o fiyatta ne yapması gerekiyorsa onu yapar. Zaten Tunalı garaja ilk gittiğimde burada kesin postu deldiririz ama hayırlısı bakalım dedim, o kadar da itici bir yer.

Adamlar ardarda fırsat kuponumu sordu. Muameleyi ona göre yapacak ya iyice emin oldu internetten aldığıma yıkamayı. Neyse davulcu kardeş pek de esnafa benzemiyordun zaten.

Fırsat ayrıntısı:

Tunalı Garaj’da cilalı dış yıkama, iç temizlik, vinil aksam temizliği ve koruması, jant temizliği ve koruması ile su ve toz tutturmaz cila 75 TL yerine 19 TL!

Fırsat paketinde hangi uygulamalar var?

  • cilalı dış yıkama
  • iç temizlik
  • vinil aksam temizliği ve koruması
  • jant temizliği ve koruması
  • su ve toz tutturmaz cila

🙂 Yalan.

Bari bagaja bir süpürge tutsaydınız. Yoksa o iç yada dışa girmiyor mu?

Uncategorized @ 06 May 2012, Comments Off on What to do after selected in greencard visa lottery

First ,visit

http://www.dvselectee.state.gov

Send the DS-230 forms directly to the Kentucky office. And wait, be patient. A few months later they will invite you to the interview. The interviews are starting by October and according to your case number it can be December, January or February. Remember following the bulletin board.

Uncategorized @ 28 April 2012, Comments Off on unity to gnome

sudo apt-get install gnome-session-fallback

Tags:

Rise against to new boot technology of Microsoft. Please read and be aware what is going on. Windows (Microsoft) is not a shit but forcing people do something is apperantly shit.